Skip to content

Commit

Permalink
ErasedChild::signal takes &self
Browse files Browse the repository at this point in the history
  • Loading branch information
passcod committed Nov 18, 2023
1 parent 86c329e commit b727e3e
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion src/stdlib/erased.rs
Original file line number Diff line number Diff line change
Expand Up @@ -79,7 +79,7 @@ impl ErasedChild {
/// - Grouped: [`GroupChild::signal`]
/// - Ungrouped: [`Child::signal`]
#[cfg(unix)]
pub fn signal(&mut self, sig: crate::Signal) -> Result<()> {
pub fn signal(&self, sig: crate::Signal) -> Result<()> {
use crate::UnixChildExt;

match self {
Expand Down
2 changes: 1 addition & 1 deletion src/tokio/erased.rs
Original file line number Diff line number Diff line change
Expand Up @@ -91,7 +91,7 @@ impl ErasedChild {
/// - Grouped: [`AsyncGroupChild::signal`]
/// - Ungrouped: [`Child::signal`]
#[cfg(unix)]
pub fn signal(&mut self, sig: crate::Signal) -> Result<()> {
pub fn signal(&self, sig: crate::Signal) -> Result<()> {
use crate::UnixChildExt;

match self {
Expand Down

0 comments on commit b727e3e

Please sign in to comment.