Skip to content

Commit

Permalink
Fix linter
Browse files Browse the repository at this point in the history
  • Loading branch information
Kludex committed Jan 11, 2024
1 parent 20daacd commit 9f57bf0
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 2 deletions.
2 changes: 1 addition & 1 deletion tests/test_concurrency.py
Expand Up @@ -12,7 +12,7 @@


def test_accessing_context_from_threaded_sync_endpoint(
test_client_factory: Callable[..., TestClient]
test_client_factory: Callable[..., TestClient],
) -> None:
ctxvar: ContextVar[bytes] = ContextVar("ctxvar")
ctxvar.set(b"data")
Expand Down
2 changes: 1 addition & 1 deletion tests/test_routing.py
Expand Up @@ -630,7 +630,7 @@ def test_standalone_ws_route_does_not_match(test_client_factory):


def test_lifespan_state_unsupported(
test_client_factory: typing.Callable[..., TestClient]
test_client_factory: typing.Callable[..., TestClient],
):
@contextlib.asynccontextmanager
async def lifespan(app: Starlette):
Expand Down

0 comments on commit 9f57bf0

Please sign in to comment.