Skip to content

Issues: YosysHQ/yosys

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Label
Filter by label
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Milestones
Filter by milestone
Assignee
Filter by who’s assigned
Sort

Issues list

CXXRTL: >20x compile time regression with clang++-18 bug cxxrtl pending-verification This issue is pending verification and/or reproduction
#4419 opened May 27, 2024 by Wren6991
A topological loop is generated after using async2sync pending-verification This issue is pending verification and/or reproduction
#4414 opened May 24, 2024 by ZhiyuanYan
Yosys right shift error pending-verification This issue is pending verification and/or reproduction
#4413 opened May 24, 2024 by WeneneW
Abnormal output pending-verification This issue is pending verification and/or reproduction
#4407 opened May 22, 2024 by WeneneW
make error 'abc' is not configured as a git submodule. pending-verification This issue is pending verification and/or reproduction
#4403 opened May 20, 2024 by Krishnakumarmohanraj
write_smt2: "-wires" option leads to inequivalent descriptions pending-verification This issue is pending verification and/or reproduction
#4361 opened Apr 27, 2024 by YikeZhou
Should -nomx8 be the default for the GateMate? pending-verification This issue is pending verification and/or reproduction
#4355 opened Apr 23, 2024 by spth
Crash in yosys-abc pending-verification This issue is pending verification and/or reproduction
#4352 opened Apr 22, 2024 by maliberty
Another out-of-memory problem with for loop pending-verification This issue is pending verification and/or reproduction
#4345 opened Apr 19, 2024 by YikeZhou
Assertion Failure in AST Processing: node->bits == v at frontends/ast/ast.cc:855 pending-verification This issue is pending verification and/or reproduction
#4335 opened Apr 14, 2024 by 1353369570
Latch inferred for x signal bug
#4325 opened Apr 8, 2024 by spth
Parameters in other packages SystemVerilog Issues and questions related to SystemVerilog wontfix
#4318 opened Apr 4, 2024 by pentin-as
Assertion Failure in genrtlil.cc When Handling Signedness Issue Description: pending-verification This issue is pending verification and/or reproduction
#4307 opened Apr 1, 2024 by 1353369570
ProTip! Updated in the last three days: updated:>2024-05-26.