Skip to content
TimRudy edited this page Oct 3, 2020 · 3 revisions

Current activity

  • First release is out!
  • Technical notes under construction, but don't worry, there's nothing immediately important to you
  • If you'd like to contact me offline to discuss anything: timrudy0 on gmail

Road map

  • Tri-state devices? That's a lot of 7400 devices, I know it's a noticeable gap. I need to do some exploring about:

    • Option 1: Releasing devices that would allow simulation in a basic way (outputs with 1'bz)
    • The Real Plan: Making tri-state work properly, which has to be on a bus in top level module only, and on real external FPGA pins
Clone this wiki locally