Skip to content

Latest commit

 

History

History
 
 

cl_hls_dds_hlx

Folders and files

NameName
Last commit message
Last commit date

parent directory

..
 
 
 
 

HLx IP Integration Flow example for DDS

Table of Contents

  1. Overview
  2. Setup HLx Environment
  3. Create Example Design (GUI)
  4. Create Example Design (Command line)
  5. Simulation
  6. Implementing the Design
  7. AFI Creation
  8. CL Example Software and executing on F1

Overview

The DDS IP described in XAPP1299 is connected to AWS F1 IP where BAR1 is used for control of the IP and DDR-C (shell DDR) is the memory used for the two AXI Master outputs of the IP(sine and cosine wave generation with 1024 samples). The host reads back the values written into the memory (simulation-one address read/software-all data written into a text file sine.txt/cosine.txt located in /home/centos ). Refer to XAPP1299 application note for more details about the IP generated by C code through HLS.

Setup HLx Environment

  • Clone the github and setup the HDK environment

    $ git clone https://github.com/aws/aws-fpga.git $AWS_FPGA_REPO_DIR
    $ cd $AWS_FPGA_REPO_DIR
    $ source hdk_setup.sh
    
  • To setup the HLx Environment, run the following commands:

    $ mkdir -p ~/.Xilinx/Vivado
    $ echo 'source $::env(HDK_SHELL_DIR)/hlx/hlx_setup.tcl' >> ~/.Xilinx/Vivado/Vivado_init.tcl
    

    NOTE: This modifies Vivado defaults, it is recommended you remove this if you wish to run non-HLx examples.

    For more information please see: HLx Setup Instructions.

  • You will also need to setup AWS CLI and S3 Bucket to enable AFI creation

Create Example Design (GUI)

  • To launch Vivado GUI
    • Change directories to the cl/examples/cl_hls_dds_hlx directory
    • Invoke Vivado by typing vivado in the console
    • In the Vivado TCL Console type in the following to create the cl_hls_dds example. The example will be generated in cl/examples/cl_hls_dds/example_projects. The vivado project is examples_projects/cl_hls_dds.xpr
    aws::make_ipi -examples cl_hls_dds
    
    • Once the Block Diagram is opened, review the different IP blocks especially the settings in the AWS IP

Create Example Design (Command line)

  • Alternatively, to run the Vivado GUI from command line (Linux only)
    • Make sure your $CL_DIR is pointing to the example directory. The following will generate the IPI Block Design (BD)
    $ cd $HDK_DIR/cl/examples/cl_hls_dds_hlx
    $ export CL_DIR=$(pwd)
    $ cd $CL_DIR/build/scripts
    $ ./aws_build_dcp_from_cl.sh -gui
    
    NOTE: The "-gui" switch is optional. It allows you to modify the example design, you will need to have a DISPLAY setup for the GUI to launch. To run the full creation and default implementation flow without the GUI, remove this switch.

Simulation

The simulation settings are already configured.

  • To launch simulation from within the Vivado GUI,
    • Click on Simulation->Run Simulation->Run Behavioral Simulation
    • Add signals needed in the simulation
    • Type run -all in the TCL console

Implementing the Design

  • To run implmentation from within the GUI is opened, in the Design Runs tab:
    • Right click on impl_1 in the Design Runs tab and select Launch Runs…
    • Click OK in the Launch Runs Dialog Box.
    • Click OK in the Missing Synthesis Results Dialog Box

This will run both synthesis and implementation.

AFI Creation

The completed .tar file is located in:

$CL_DIR/build/scripts/example_projects/cl_hls_dds.runs/faas_1/build/checkpoints/to_aws/<timestamp>.Developer_CL.tar  

For information on how to create AFI from this tar file, follow the steps outlined here.

CL Example Software and executing on F1

The runtime software must be compiled for the AFI to run on F1.

Copy the software directory to any directory and compile with the following commands:

$ cp -r $HDK_COMMON_DIR/shell_stable/hlx/hlx_examples/build/IPI/cl_hls_dds/software <any_directory>
$ cd software
$ make all
$ sudo ./test_cl