Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[test] dup of 3 #124

Open
eine opened this issue Jan 2, 2020 · 0 comments
Open

[test] dup of 3 #124

eine opened this issue Jan 2, 2020 · 0 comments
Labels
Triage Contains a M(n)WE to be processed by GHA (issue-runner)

Comments

@eine
Copy link
Collaborator

eine commented Jan 2, 2020

This is a dup of #3, but the image name is fixed here.

entity ent is
  generic (
    max : natural);
  port (
    p : out natural range 1 to max := 3);
end entity;

architecture a of ent is
begin
end;
entity ent2 is
end entity;

architecture a of ent2 is
  constant max : natural := 2;
  signal p : natural range 1 to max;
begin

  inst : entity work.ent
    generic map (max => max)
    port map (p => p);
end;
#!/usr/bin/env sh

ghdl --version
ghdl -a ent.vhd
ghdl -a ent2.vhd
ghdl --elab-run ent2
@eine eine added Triage Contains a M(n)WE to be processed by GHA (issue-runner) and removed Triage Contains a M(n)WE to be processed by GHA (issue-runner) labels Jan 2, 2020
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
Triage Contains a M(n)WE to be processed by GHA (issue-runner)
Projects
None yet
Development

No branches or pull requests

1 participant