{"payload":{"header_redesign_enabled":false,"results":[{"id":"194450476","archived":false,"color":"#b2b7f8","followers":30,"has_funding_file":false,"hl_name":"shellbear/v-regex","hl_trunc_description":" A simple regex library for V","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":194450476,"name":"v-regex","owner_id":20194995,"owner_login":"shellbear","updated_at":"2019-09-30T16:19:52.934Z","has_issues":true}},"sponsorable":true,"topics":["regex","pcre","v","vlang"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":50,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Ashellbear%252Fv-regex%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/shellbear/v-regex/star":{"post":"6zv3ci7bKi0c7xIVRslaID4XZgq0nD7kMt6j692Oy-cxbboPU7-Vr149UvBFXzPNMw_dU1sFHkgiEosb9Ne0rQ"},"/shellbear/v-regex/unstar":{"post":"AYKystly8eHb4ZyGmuSIwFkcJixtCnfF_QarDr9poOa7dYo-oL9KNoxIhesRr4b_daRqdGCz9lXHdSzgVRC4xQ"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"gYOA-Bs1K0rKaXImqOLFn0O9uuVvmhULdwWO1nvi6N0lPet8OCyf6laa5BToygZ9uO6hXl-0t7HrtpNTRUAmvg"}}},"title":"Repository search results"}